Anzeige
Sortierung
Seite 2 von 3

1

Electrical Test Structures for the Characterisation of Optical Proximity Correction

Autoren:
Tsiamis, Andreas; Smith, Stewart; McCallum, Martin; Hourd, Andrew C.; Stevenson, J. Tom M.; Waltona, Anthony J.
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

2

Electron Beam Direct Write – Shaped Beam Overcomes Resolution Concerns

Autoren:
Stolberg, Ines; Pain, Laurent; Kretz, Johannes; Boettcher, Monika; Doering, Hans-Joachim; Gramss, Juergen; Hahmann, Peter
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

3

Electron beam directed repair of fused silica imprint templates

Autoren:
Schmid, Gerard M.; Resnick, Douglas J.; Fettig, Rainer; Edinger, Klaus; Young, Steven R.; Dauksher, William J.
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

4

Electron beam lithography simulation based on a single convolution approach – Application for sub-45nm nodes

Autoren:
Le denmat, J. C.; Manakli, S.; Icard, B.; Soonekindt, C.; Minghetti, B.; Le borgne, O.; Pain, L.
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

5

Enabling Defect-free Masks for Extreme Ultraviolet Lithography

Autoren:
Jeon, Chan-Uk; Kearney, Patrick; Ma, Andy; Randive, Rajul; Reiss, Ira; Beier, Bernd; Uno, Toshiyuki
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

6

EUV mask infrastructure challenges

Autoren:
Wurm, Stefan; Seidel, Phil; Peski, Chris Van; He, Long; Han, Hakseung; Kearney, Pat; Cho, Wonil
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

7

Evaluation of an alternative UV-NIL mold fabrication process

Autoren:
Voisin, P.; Voisin, P.; Voisin, P.; Leveder, T.; Zelsmann, M.; Gourgon, C.; Boussey, J.
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

8

Fast near field simulation of optical and EUV masks using the waveguide method

Autoren:
Evanschitzky, Peter; Erdmann, Andreas
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

9

First Measurement Data Obtained On The New Vistec LMS IPRO4

Autoren:
Adam, Dieter; Boesser, Artur; Heiden, Michael; Bender, Jochen; Laske, Frank; Röth, Klaus-Dieter
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

10

Focused Electron Beam Induced Deposition of DUV transparent SiO2

Autoren:
Perentes, Alexandre; Hoffmann, Patrik; Munnik, Frans
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

11

Hybrid nanoimprint for micro-nano mixture structure

Autoren:
Okuda, Keisuke; Niimi, Naoyuki; Kawata, Hiroaki; Hirai, Yoshihiko
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

12

Hydrogenated water application for particle removal on EUV mask blank substrates

Autoren:
Eichenlaub, Sean; Rastegar, Abbas; Dress, Peter; Xu, Fei; Marmillion, Pat
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

13

Improved CD uniformity for advanced masks using the Sigma7500 pattern generator and ProcessEqualizer(TM)

Autoren:
Eklund, Robert; Österberg, Anders; Hellgren, Jonas; Fosshaug, Hans; Karlin, Tord; Newman, Tom
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

14

Improvement of Model Kernel Representation in Process Simulation by Taking Pattern Correlation into account

Autoren:
Li, Jianliang; Yan, Qiliang; Melvin III, Lawrence S.
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

15

Innovative Application of the RCWA Method for the Ultra-Sensitive Transmittance-Based CD Measurements on Phase-Shift Masks

Autoren:
Gray, Alexander; Lam, John C.; Chen, Stanley
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

16

Investigation of capillary bridges growth in NIL process

Autoren:
Landis, Stefan; Leveder, Tanguy; Chaix, Nicolas; Gourgon, Cecile
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

17

Investigation of Hyper-NA Scanner Emulation for Photomask CDU Performance

Autoren:
Poortinga, Eric; Scheruebl, Thomas; Conley, Will; Sundermann, Frank
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

18

Investigation on Immersion Defectivity Root Cause

Autoren:
Farys, V.; Gaugiran, S.; Cruau, D.; Mestadi, K.; Warrick, S.; Benndorf, M.; Feilleux, R.; Sourd, C.
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

19

Mask Industry Assessment Trend Analysis 2006

Autoren:
Shelden, Gilbert; Marmillion, Patricia
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference

20

Mask qualification strategies in a wafer fab

Autoren:
Jaehnert, Carmen; Kunowski, Angela
Konferenz:
EMLC 2007 - 23rd European Mask and Lithography Conference