CD and Profile Metrology of Embedded Phase shift masks using Scatterometry

Conference: EMLC 2006 - 22nd European Mask and Lithography Conference
01/23/2006 - 01/26/2006 at Dresden, Germany

Proceedings: EMLC 2006

Pages: 7Language: englishTyp: PDF

Personal VDE Members are entitled to a 10% discount on this title

Authors:
Lee, Kyung man; Tavassoli, Malahat; Baik, Kiho (Intel Corporation, 3065 Bowers Avenue, Santa Clara, CA 95054)
Yedur, Sanjay (Timbre Technologies, 2953 Bunker Hill Lane, Santa Clara, CA 95054)
Hetzer, Dave (Tokyo Electron Europe Ltd, Moritzburger Weg 67, Haus D 01324, Dresden, Germany)

Abstract:
Linewidth and etch depth control on the photomask is rapidly becoming a major concern in mask processing. In this paper, we report on a Scatterometry based metrology system that provides line width and etch profile measurements on Embedded PSMs on Intel's 65nm and 45nm node test masks. Measurements were made with Nanometric's Atlas-M reticle measurement system. Spectrum data obtained from plates were analyzed using Timbre Technologies’ ODP analysis software. We characterized the CD uniformity, linearity, sidewall angle and thickness uniformity. Significant reduction in time per measurement is achieved when compared to CD-SEM. ODP Scatterometry reported a 2x reduction in the CD Uniformity compared to that reported from the SEM. This reduction is typically due to outliers reported by the CD-SEM that is averaged out in ODP Scatterometry. Good correlation to top-down CD-SEM and cross-sectional SEM is reported. R-squared correlation of >0.99 (ODP scatterometry to top down CD-SEM) is reported. Profile measurements from ODP show excellent match to cross-section SEM. The data show that Scatterometry provides a nondestructive way to monitor basic etch profile combined with relatively little CD metrology lag.