Copper Oxidization Formation Analysis for Improving TDDB Reliability

Conference: ICPT 2007 - International Conference on Planarization / CMP Technology
10/25/2007 - 10/27/2007 at Dresden, Germany

Proceedings: ICPT 2007

Pages: 6Language: englishTyp: PDF

Personal VDE Members are entitled to a 10% discount on this title

Authors:
Yamada, Yohei; Konishi, Nobuhiro; Katsuyama, Kiyomi; Asaka, Shoji; Noguchi, Junji (Micro Device Division, Hitachi, Ltd., 6-16-3 Shinmachi, Ome-shi, Tokyo 198-8512, Japan)
Yagi, Yasuhito; Ogiso, Naohito; Miyazaki, Tadakazu (Sanyo Chemical Industries, Ltd., 11-1 Ikkyo Nomoto-cho, Higashiyama-ku, Kyoto 605-0995, Japan)

Abstract:
Using Cu/SiOC interconnects, we investigated the relationship between the time dependent dielectric breakdown (TDDB) reliability and the cleaning process in copper chemical-mechanical polishing (CMP). We found that the formation of a non-uniform copper oxide film during post-CMP cleaning causes TDDB degradation when a barrier metal slurry that does not contain benzotriazole (BTA) is used. We also found the re-formation of a non-uniform copper oxide layer that accompanies the deionized water rinse is due to the dissolution of too much of the copper oxide film during the post-CMP cleaning process. For improved TDDB reliability, the uniform copper oxidization during post-CMP cleaning process is important in the Cu/low-k damascene formation process.