Status of EUVL Reticle Chucking

Conference: EMLC 2008 - 24th European Mask and Lithography Conference
01/21/2008 - 01/24/2008 at Dresden, Germany

Proceedings: EMLC 2008

Pages: 14Language: englishTyp: PDF

Personal VDE Members are entitled to a 10% discount on this title

Authors:
Engelstad, Roxann L.; Zeuske, Jacob R.; Battul, Venkata Siva; Vukkadala, Pradeep; Turner, Kevin T.; Mikkelson, Andrew R.; Nataraju, Madhura (Computational Mechanics Center, Mechanical Engineering Department, 1513 University Ave., University of Wisconsin - Madison, WI USA 53706)
Sohn, Jaewoong; Orvek, Kevin J. (SEMATECH, 255 Fuller Road, Suite 309, Albany, NY 12203)
Peski, Chris K. Van (SEMATECH, 2706 Montopolis Dr., Austin, TX 78741)

Abstract:
Extreme Ultraviolet Lithography (EUVL) is one of the leading candidates for Next-Generation Lithography in the sub- 45-nm regime. Successful implementation of this technology will depend upon advancements in many areas, including the quality of the mask system to control image placement errors. For EUVL, the nonflatness of both the mask and chuck is critical, due to the nontelecentric illumination during exposure. The industry is proposing to use an electrostatic chuck to support and flatten the mask in the exposure tool. The focus of this research is to investigate the clamping ability of a pin-type chuck, both experimentally and with the use of numerical simulation tools, i.e., finite element modeling. A status report on electrostatic chucking is presented, including the results obtained during repeatability studies and long-term chucking experiments.