Mask contribution on CD & OVL errors budgets for Double Patterning Lithography

Konferenz: EMLC 2009 - 25th European Mask and Lithography Conference
12.01.2009 - 15.01.2009 in Dresden, Germany

Tagungsband: EMLC 2009

Seiten: 13Sprache: EnglischTyp: PDF

Persönliche VDE-Mitglieder erhalten auf diesen Artikel 10% Rabatt

Autoren:
Servin, I.; Lapeyre, C.; Barnola, S. (CEA-Leti Minatec 17, rue des Martyrs 38054 Grenoble cedex 9, France)
Connolly, B.; Ploss, R. (Toppan Photomasks Inc., Raehnitzer Allee 9, 01109 Dresden, Germany)
Nakagawa, K.; Buck, P. (Toppan Photomasks Inc., 23932 NE Glisan Street, Gresham, Oregon 97007 USA)
McCallum, M. (Nikon Corporation, Nikon Court, Kirkton Campus Livingston, West Lothian Scotland EH54 7DL)

Inhalt:
Double Patterning Technology (DPT) is now considered as the mainstream technology for 32 nm node lithography. The main DPT processes have been developed according targeted applications: spacer and pitch splitting either by dual line or dual trench approaches. However, the successful implementation of DPT requires overcoming certain technical challenges in terms of exposure tool capability, process integration, mask performance and finally metrology. For pitch splitting process, the mask performance becomes critical as the technique requires a set of two masks. This paper will focus on the mask impact to the global critical dimension (CD) and overlay (OVL) errors for DPT. The mask long-distance and local off-target CD variation and image placement were determined on DP features at 180 nm and 128 nm pitches, dedicated to 45 nm and 32 nm nodes respectively. The mask data were then compared to the wafer CD and OVL results achieved on same DP patterns. Edge placement errors have been programmed on DP like-structures on reticle in order to investigate the offsets impact on CD and image placement. The CD lines increases with asymmetric spaces adjacent to the drawn lines for offsets higher than 12 nm, and then have been compared to the corresponding density induced by individual dense and sparse symmetric edges and have been correlated to the simulated prediction. The single reticle trans-X offsets were then compared to the impact on CD by OVL errors in the double patterning strategy. Finally, the pellicle-induced reticle distortions impact on image placement errors was investigated. The mechanical performance of pellicle was achieved by mask registration measurements before and after pellicle removal. The reticle contribution to the overall wafer CD and OVL errors budgets were addressed to meet the ITRS requirements.