Optical Proximity Correction for 0.13 µm SiGe:C BiCMOS

Konferenz: EMLC 2008 - 24th European Mask and Lithography Conference
21.01.2008 - 24.01.2008 in Dresden, Germany

Tagungsband: EMLC 2008

Seiten: 6Sprache: EnglischTyp: PDF

Persönliche VDE-Mitglieder erhalten auf diesen Artikel 10% Rabatt

Autoren:
Geisler, S.; Beyer, H. (Technische Fachhochschule Wildau, Fachbereich Ingenieurwesen/Wirtschaftsingenieurwesen, Engineering Physics, Bahnhofstr., D-15754 Wildau)
Geisler, S.; Bauer, J.; Haak, U.; Jagdhold, U.; Pliquett, R.; Matthus, E. (IHP, Im Technologiepark 25, D-15236 Frankfurt (Oder), Germany)
Schrader, R.; Wolf, H. (Photronics, Inc., Photronics MZD GmbH, Maria-Reiche-Str.4, D-01109 Dresden)
Baetz, U. (Fraunhofer IPMS, Maria-Reiche-Str.2, D-01109 Dresden)
Niehoff, M. (Mentor Graphics, Arnulfstr. 201, D-80634 München)

Inhalt:
We present results for a rule based optical proximity (RB-OPC) and a model based optical proximity correction (MB-OPC) for 0.13 µm SiGe:C BiCMOS technology. The technology provides integrated high performance heterojunction bipolar transistors (HBTs) with cut-off frequencies up to 300 GHz. This requires an optical proximity correction of critical layers with an excellent mask quality. This paper provides results of the MB-OPC and RB-OPC using the Mentor Calibre software in comparison to uncorrected structures (NO-OPC). We show RB- and MB-OPC methods for the shallow trench and gate layer, and the RB-OPC for the emitter window-, contact- and metal layers. We will discuss the impact of the RB- and MB-OPC rules on the process margin and yield in the 0.13 µm SiGe:C BiCMOS technology, based on CD-SEM data obtained from the evaluation of the RB- and MB-OPC corrected SRAM cells.